Skip to main content

Thread: Can't run a program I compiled


i compiled small program gcc , can't run inside terminal "./hello"
says "bash: ./hello: permission denied"

- did compiled under root privileges?
run:
code:
ls -l ./your_file
and post output


Forum The Ubuntu Forum Community Ubuntu Official Flavours Support General Help [SOLVED] Can't run a program I compiled


Ubuntu

Comments

Popular posts from this blog

Thread: Can not create raid array: mdadm: no raid-devices specified.

Thread: HOW TO: Package and theme GTK+ / Gtkmm apps in Linux for Windows

Thread: Twinview issues